For resistive-capacitive (RC) delay to continue to scale in accordance with the International Technology Roadmap for Semiconductors (ITRS), the device manufacturers are integrating ultra-low k (ULK) dielectric materials into their 32 nm process flows.

UltraViolet Thermal Processing (UVTP) is being introduced into the semiconductor manufacturing process to improve the mechanical properties of these ULK materials, making them suitable for subsequent Chemical Mechanical Planarization (CMP) and packaging operations. However, the UVTP process can alter the nature of the stress of the ULK film stack at the copper-dielectric interface. While it is widely accepted that maintaining a compressive stress at this interface can positively impact copper electromigration and film stack reliability, exposing some dielectric films to UV radiation can shift the stress in the film from compressive to tensile, which will negatively affect device performance.

Some 32nm ULK inter-metal dielectric and diffusion barrier films can be negatively impacted by UVTP, changing the stress on the underlying copper-dielectric interface, said Andy Antonelli, technology manager at Novellus’ PECVD business. Relative to competitive offerings, the greater UV absorption capabilities of the new Novellus films preserves the compressive stress at this critical interface and thus minimizes reliability concerns.